Welcome![Sign In][Sign Up]
Location:
Search - vhdl controller

Search list

[OtherVGA_Controller_IPcore

Description: VGA控制器源码,代码已经过验证,可以立即使用。-VGA controller source code, the code has already been verified, you can immediately use.
Platform: | Size: 16384 | Author: wangjianguang | Hits:

[SCMnew-lins-uart-all

Description: 无私奉献,VHDL 源码,用于实现FPGA上的UART(串口控制器),可以实现FPGA与单片机,PC机的串口通讯。-Selfless dedication, VHDL source code for the FPGA realization of the UART (serial port controller), you can realize FPGA and MCU, PC serial communication machine.
Platform: | Size: 6144 | Author: 骑士 | Hits:

[VHDL-FPGA-Verilogcan_rtl_verilog.tar

Description: can控制器的verilog语言实现 (还要更多的说明语言了吗?我不知道该写什么了)-controller can realize the Verilog language (even more language it? I do not know what the writing)
Platform: | Size: 34816 | Author: 郑国栋 | Hits:

[VHDL-FPGA-Verilog16550

Description: UART16550兼容的串行通讯控制器,Verilog语言描述,采用Altera Cyclone系列芯片实现FPGA综合,因为FIFO部分利用到内部资源实现。已经在某项目中成功应用,特此推出。-UART16550 compatible serial communication controller, Verilog language description, the use of Altera Cyclone series FPGA chip integrated, as part of the use of FIFO to the internal resources to achieve. Projects have been in a successful application, is hereby introduced.
Platform: | Size: 10240 | Author: David.Mr.Liu | Hits:

[VHDL-FPGA-Verilogdianti

Description: 电梯控制器的源代码,要的快下快下快下快下-Elevator controller source code, to the soon soon soon soon
Platform: | Size: 2048 | Author: | Hits:

[Communication-Mobileuart

Description: 这个是UART的控制器,已经跑通过,分4个模块,波特率生成、发送、接收和fifo,可供初学者参考-This is the UART controller, has been run through, sub-4 module, baud rate generating, sending, receiving and fifo, for beginners reference
Platform: | Size: 3072 | Author: duan | Hits:

[OtherATA_source_code

Description: ata控制器verilog源代码,入门的不错参考-ata controller Verilog source code, entry of a good reference
Platform: | Size: 789504 | Author: 范俊 | Hits:

[VHDL-FPGA-Veriloglight

Description: 利用Altera公司FPGA芯片,设计一个汽车尾灯控制器,实现对汽车尾灯显示状态的控制。 内容、要求: 1、汽车正向行驶,指示灯全灭。 2、右转,右侧三灯循环点亮。 3、左转,左侧三灯循环点亮 4、临时刹车,指示灯同时闪烁。 6故障停车时所有尾灯亮起。 -The use of Altera Corporation FPGA chips, the design of a car taillight controller, to achieve a state of auto taillights display control. Content requirements: 1, vehicle being driven, light body. 2, turn right, the right three lights lit circle. 3, turn left, the left side of the three lights lit cycle 4, the provisional brakes, lights flashing at the same time. 6 Fault parking lights all taillights.
Platform: | Size: 258048 | Author: leo | Hits:

[VHDL-FPGA-Verilogps2core

Description: 一个ps2键盘鼠标的Host Controller。实现接收键盘及鼠标发送的数据的要求。基于FPGA。-A ps2 keyboard and mouse of the Host Controller. Realize receive keyboard and mouse to send data requirements. Based on the FPGA.
Platform: | Size: 20480 | Author: 颜新卉 | Hits:

[OtherVGALCD

Description: lcd控制器的源程序,可以随便使用,免费试用。不多描述。-lcd controller source code, you can not use, free trial. Not much to describe.
Platform: | Size: 494592 | Author: 刘源 | Hits:

[VHDL-FPGA-VerilogPWM_CT

Description: PWM调制输出、定时和计数控制器的芯片设计-PWM modulation output, timing and count controller chip design
Platform: | Size: 5120 | Author: 李利歌 | Hits:

[VHDL-FPGA-VerilogStepperMotorPorts

Description: 一款简单的可以用来驱动4线或6线的步进电机控制器-A simple can be used to drive 4-wire or 6 wire stepper motor controller
Platform: | Size: 2048 | Author: 李利歌 | Hits:

[VHDL-FPGA-Verilogsimple_pic

Description: 简单可编程中断控制器,利用定时计数器的中断请求信号输出中断使能控制信号。-Simple Programmable Interrupt Controller, using regular counter interrupt request signal output enable control signal interruption.
Platform: | Size: 3072 | Author: 李利歌 | Hits:

[Otherzbt_vhdl_xilinx

Description: SRAM控制器可以实现SRAM数据的输出控制-SRAM controller can realize SRAM data output control
Platform: | Size: 9216 | Author: bobo | Hits:

[VHDL-FPGA-Verilog76_PID

Description: 一个非常好的电机转速控制器VHDL源代码设计-A very good motor speed controller VHDL design source code
Platform: | Size: 2048 | Author: linew | Hits:

[Other systemselevator

Description: 电梯控制器 可实现电梯上下响应任务,到站提示音,无任务停在基站-Elevator controller can realize the lift up and down in response to mission departure and arrival tone, non-mission stopped at the base station
Platform: | Size: 1024 | Author: hilly | Hits:

[Compress-Decompress algrithmsmasterspiverilog

Description: spi总线控制器的fpga实现 verilog源代码及测试-spi bus controller realize the FPGA Verilog source code and test
Platform: | Size: 180224 | Author: sang | Hits:

[Otherddr_ctrlv

Description: ddr ram controller vhdl code
Platform: | Size: 55296 | Author: heyong | Hits:

[VHDL-FPGA-VerilogCAN_controller_ip

Description: 一个用硬件描述语言编写CAN总线控制器的IP,可以用在NIOS II上。-A hardware description language used CAN bus controller of the IP, can be used in the NIOS II.
Platform: | Size: 63488 | Author: 李建刚 | Hits:

[VHDL-FPGA-Verilog61EDA_B307

Description: 步进电机/直流电机控制器 步进电机细分旋转,或不细分旋转 直流电机控制-Stepper Motor/DC Motor Controller Stepper Motor breakdown of rotation, with or without a breakdown rotation DC motor control
Platform: | Size: 116736 | Author: 李宁 | Hits:
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 46 »

CodeBus www.codebus.net